ĐiệnTửAz.BlogSpot.Com Đặt Liên Kết Quảng Cáo!
Breaking News
Loading...
Thứ Năm, 12 tháng 3, 2015

Câu hỏi và bài tập VHDL Chương 4


Bài tập 4-1: Thiết kế flip flop RS.
Bài tập 4-2: Thiết kế mạch chốt D.
Bài tập 4-3: Thiết kế flip flop D.
Bài tập 4-4: Thiết kế flip flop T.
Bài tập 4-5:Thiết kế 4 flip flop D gồm 4 ngõ vào D, 4 ngõ ra Q và 4 ngõ ra QD, dùng chung 1 CLK.
Bài tập 4-6: Thiết kế 8 flip flop T gồm 8 ngõ vào T, 8 ngõ ra Q và 8 ngõ ra QD, dùng chung
CLK.
Bài tập 4-7: Thiết kế thanh ghi dịch giống như IC 74164.
Bài tập 4-8: Thiết kế thanh ghi dịch giống như IC 74194.
Bài tập 4-9: Thiết kế mạch điều khiển đèn giao thông với xanh_1, vàng_1, Đỏ_1, xanh_2, vàng_2, đỏ_2. Cho xanh sáng 15 giây, vàng sáng 5 giây và đỏ sáng 20 giây.
Bài tập 4-10: Thiết kế mạch điều khiển đèn 8 led đơn với yêu cầu như sau: điểm sáng chạy từ phải sang trái  và từ trái sang phải theo xung clock và có 1 chân cho phép E tích cực mức 0.
Bài tập 4-11: Thiết kế mạch có chức năng giống như IC 4017.
Bài tập 4-12: Thiết kế mạch có chức năng giống như IC 4017 nhưng gồm có 20 ngõ ra.
Bài tập 4-13: Thiết kế mạch có chức năng giống như IC 4017 nhưng gồm 2 con: một con đếm hàng đơn vị và một con đếm hàng chục.
Bài tập 4-14: Thiết kế mạch đếm nhị phân 4 bit – đếm xuống. [=>]
Bài tập 4-15: Thiết kế mạch đếm nhị phân 4 bit – đếm lên, đếm xuống được điều khiển bằng tín hiệu UD (UD = 0 thì đếm lên, UD = 1 thì đếm xuống), có một tín hiệu CLK, một tín hiệu CLR.
Bài tập 4-16: Thiết kế mạch đếm nhị phân 4 bit – đếm lên, đếm xuống được điều khiển bằng tín hiệu UD (UD = 0 thì đếm lên, UD = 1 thì đếm xuống), có một tín hiệu CLK, một tín hiệu CLR. Có thêm chức năng đặt trước số đếm như IC 74193.
Bài tập 4-17: Thiết kế mạch đếm nhị phân 4 bit – đếm lên, đếm xuống được điều khiển bằng tín hiệu UD (UD = 0 thì đếm lên, UD = 1 thì đếm xuống), có một tín hiệu CLK, một tín hiệu CLR, có giải mã hiển thị ra 1 led 7 đoạn từ 0 đến F tương ứng với số thập lục phân.
Bài tập 4-18: Thiết kế mạch đếm BCD – đếm lên, đếm xuống được điều khiển bằng tín hiệu UD (UD = 0 thì đếm lên, UD = 1 thì đếm xuống), có một tín hiệu CLK, một tín hiệu CLR, có giải mã hiển thị ra 1 led 7 đoạn.
Bài tập 4-19: Thiết kế mạch đếm BCD từ 00 đến 99 – đếm lên, đếm xuống được điều khiển bằng tín hiệu UD (UD = 0 thì đếm lên, UD = 1 thì đếm xuống), có một tín hiệu CLK, một tín hiệu CLR, có giải mã hiển thị ra 2 led 7 đoạn – không dùng quét.
Bài tập 4-20: Thiết kế mạch đếm BCD từ 000 đến 999 – đếm lên, đếm xuống được điều khiển bằng tín hiệu UD (UD = 0 thì đếm lên, UD = 1 thì đếm xuống), có một tín hiệu CLK, một tín hiệu CLR, có giải mã hiển thị ra 3 led 7 đoạn – dùng quét.
Bài tập 4-21: Thiết kế mạch gồm có 3 led 7 đoạn, bàn phím gồm 10 phím số từ “0” đến “9”. Ban đầu thì 3 led hiển thị số “000”, khi nhấn phím nào thì phím đó được dịch vào từ bên phải. (giống như máy tính calculator).
Bài tập 4-22: Thiết kế mạch định thời: gồm có 2 led 7 đoạn để hiển thị số giây từ 00 đến 99, bàn phím gồm 10 phím số từ “0” đến “0” và phím chức năng như “clear”, “enter”, “test”, một ngõ ra điều khiển relay. Ban đầu thì 2 led hiển thị số “00”, khi nhấn phím nào thì phím đó được dịch vào từ bên phải. Sau khi nhấn xong thì nhấn “enter” quá trình đếm xuống sẽ bắt đầu cho đến khi giá trị đếm bằng 00 thì relay sẽ tác động. Hệ thống ngừng.

Bài tập 4-23: Dùng PLD kết nối với IC đếm BCD 74LS90, hãy viết chương trình điều khiển IC 74LS90 đếm với trình tự như sau:
Đếm từ 0 đến 9, sau đó đếm từ 0 đến 8, tương tự đếm từ 0 đến 7 rồi lặp lại.
Bài tập 4-24:Mô tả mạch đếm nhị phân 4 bit có thể đếm với 4 cấp tần số                      các cấp tần số có thể chọn bằng 2 switch S0 và S1, cho tần số cung cấp cho mạch là f .
Bài tập 4-25: Mô tả mạch có thể tạo ra dạng sóng với yêu cầu như sau:
Mạch tạo ra 5 xung có tần số 1Hz rồi ngừng 5 xung có tần số 1 hz, cho xung vào có tần số
10hz. Có 1 ngõ vào reset
Bài tập 4-26: Mô tả mạch có 3 nút nhấn A, B và C: khi nhấn nút A thì mạch tạo ra 5 xung rồi ngừng, khi nhấn nút B thì mạch tạo ra 10 xung rồi ngừng, khi nhấn nút C thì mạch tạo ra 15 xung rồi ngừng. Cho xung clock ngõ vào có tần số bằng 1Hz.
Bài tập 4-27: Thiết kế mạch so sánh 2 số nhị phân 3 bit A và B và 1 mạch đếm nhị 4 bit: nếu số nhị phân A lớn hơn B thì mạch đếm lên, nếu A bằng B thì mạch đếm xuống, nếu A nhỏ hơn B thì mạch đếm.
Bài tập 4-28: Thiết kế 1 mạch đếm nhị phân 4 bit và 1 mạch đếm Johnson 4 bit có cùng clr và enable nhưng xung clock khác nhau .
Bài tập 4-29: Thiết kế 1 mạch đếm nhị phân 4 bit có clr, clk có thể lựa chọn đếm nhị phân chẵn hoặc lẻ.
Bài tập 4-30:Thiết kế 1 mạch đếm nhị phân 5 bit có clr, clk, enable, với trình tự đếm: đếm lên từ cực tiểu lên cực đại theo số theo số thập phân chẵn rồi quay lại theo số thập phân lẻ, cứ thế lặp lại.
Bài tập 4-31: Thiết kế 1 mạch có 8 ngõ ra và các ngõ vào gồm: 1 clk, 1 clr, 1 ngõ vào S: S=0 thì mạch đếm Johnson, S=1 thì mạch đếm vòng,  1 ngõ vào pause bình thường ở mức 1: khi nút pause thì mạch đang đếm sẽ ngừng đếm và sau 5 xung thì mạch sẽ đếm tiếp.



3 nhận xét:

 
Toggle Footer
BACK TO TOP