library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity dientu_az is port ( I... Read More
![Bài tập 3-2:Thiết kế mạch giải mã 2 đường sang 4 đường với ngõ ra tích cực mức thấp và có một tín hiệu cho phép E1 tích cực mức cao, và một tín hiệu cho phép E2 tích cực mức thấp](https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhBWb7gTFQFxIxjtnkJhj1ScTJ5dAoPVtsts6naAEcX-9GM2RJqFpJIk-R4F5feHcuPsA8bkvrNr7e_s5v0kT2JzbgYRfEs1g6izvSvLGUr6Kci1S9SODpxjwSKHECf3LsPWh_5m291nT8/s72-c/3-2.jpg)
- VHDL Example Xem
Blog By Mr.Hạnh TNUT
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity dientu_az is port ( I... Read More
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity dientu_az is port (... Read More
library IEEE; use IEEE.STD_LOGIC_1164.all; entity hex7segc is port ( x: in STD_LOGIC_VECTOR(2 downto 0); y : out STD_LOGIC_VECTO... Read More
Cách 1 : library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity binbcd8 is port ( b... Read More
v Nhóm Hàm Quản Lý CCP · SETUP_PWM1_DUTY(),SETUP_PWM1_DUTY() Hàm này được dùng để xác định % thời gian trong 1 chu kỳ, PW... Read More
library IEEE ; use IEEE . STD_LOGIC_1164 . ALL ; use IEEE . NUMERIC_STD . ALL ; entity johnson_counter is port ( DAT_O ... Read More