ĐiệnTửAz.BlogSpot.Com Đặt Liên Kết Quảng Cáo!
Thứ Bảy, 9 tháng 5, 2015
Thứ Năm, 7 tháng 5, 2015
Thứ Tư, 6 tháng 5, 2015
Thứ Hai, 4 tháng 5, 2015
Chữa bài kiểm tra [bài tập 3-21] Thiết kế mạch kiểm tra một số nhị phân 8 bit để biết các trạng thái số nhị phân chẵn hay lẻ, lớn hơn 100, bằng 100 hay nhỏ hơn 100

Chữa bài kiểm tra [bài tập 3-21] Thiết kế mạch kiểm tra một số nhị phân 8 bit để biết các trạng thái số nhị phân chẵn hay lẻ, lớn hơn 100, bằng 100 hay nhỏ hơn 100

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity dientuaz is port ( ... Read More

Bài tập 3-4: Thiết kế mạch giải mã 3 đường sang 8 đường với ngõ ra tích cực mức thấp và có một tín hiệu cho phép E1 tích cực mức cao, và một tín hiệu cho phép E2 tích cực mức thấp.

Bài tập 3-4: Thiết kế mạch giải mã 3 đường sang 8 đường với ngõ ra tích cực mức thấp và có một tín hiệu cho phép E1 tích cực mức cao, và một tín hiệu cho phép E2 tích cực mức thấp.

library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity dientuaz is port ( ... Read More

Thứ Bảy, 25 tháng 4, 2015
 
Toggle Footer
BACK TO TOP