ĐiệnTửAz.BlogSpot.Com Đặt Liên Kết Quảng Cáo!
Breaking News
Loading...
Thứ Năm, 12 tháng 3, 2015

Câu hỏi và bài tập VHDL Chương 3


Bài tập 3-1: Thiết kế mạch giải mã 2 đường sang 4 đường với ngõ ra tích cực mức thấp và có một tín hiệu cho phép E tích cực mức cao. [solution manual]
Bài tập 3-2:Thiết kế mạch giải mã 2 đường sang 4 đường với ngõ ra tích cực mức thấp và có một tín hiệu cho phép E1 tích cực mức cao, và một tín hiệu cho phép E2 tích cực mức thấp. [solution manual]
Bài tập 3-3: Thiết kế mạch giải mã bên trong có 4 mạch giải mã 2 đường sang 4 đường với ngõ ra tích cực mức thấp.
Bài tập 3-4: Thiết kế mạch giải mã 3 đường sang 8 đường với ngõ ra tích cực mức thấp và có một tín hiệu cho phép E1 tích cực mức cao, và một tín hiệu cho phép E2 tích cực mức thấp. [=>]
Bài tập 3-5: Thiết kế mạch mã hoá 8 đường sang 3 đường với các ngõ vào tích cực mức thấp.
Bài tập 3-6: Thiết kế mạch mã hoá 8 đường sang 3 đường với các ngõ vào tích cực mức cao. [=>]
Bài tập 3-7: Thiết kế mạch giải mã led 7 đoạn loại cathode chung.
Bài tập 3-8: Thiết kế mạch giải mã led 7 đoạn loại giống như IC 74247.
Bài tập 3-9: Thiết kế mạch giải mã led 7 đoạn loại giống như IC 4511.
Bài tập 3-10: Thiết kế mạch đa hợp 8 ngõ vào, 1 ngõ ra và 3 ngõ lựa chọn.
Bài tập 3-11: Thiết kế mạch đa hợp 16 ngõ vào, 1 ngõ ra và 4 ngõ lựa chọn.
Bài tập 3-12: Thiết kế mạch giải đa hợp 1 ngõ vào, 8 ngõ ra và 3 ngõ lựa chọn.
Bài tập 3-13: Thiết kế mạch giải đa hợp 1 ngõ vào, 16 ngõ ra và 4 ngõ lựa chọn.
Bài tập 3-14: Thiết kế mạch giải đa hợp giống như IC 74151.
Bài tập 3-15: Thiết kế mạch so sánh 2 số 8 bit A và B và có 3 led hiển thị LEDLH, LEDBA, LEDNH. Nếu A>B thì LEDLH sáng, nếu A=B thì LEDBA sáng, nếu A<B thì LEDNH sáng.
Bài tập 3-16: Thiết kế mạch chuyển đổi số nhị phân 8 bit thành số BCD. [solution manual]
Bài tập 3-17: Thiết kế mạch chuyển đổi số 2 số BCD thành số nhị phân.
Bài tập 3-18: Thiết kế mạch kiểm tra chẵn lẻ của một số nhị phân 8 bit, nếu là số chẵn thì đèn chẵn sáng, nếu là số lẻ thì đèn lẻ sáng.
Bài tập 3-19: Thiết kế mạch giải mã led 7 đoạn cho số nhị phân ngõ vào 3 bit để hiển thị các số tương ứng từ 0 đến 7. [solution manual]
Bài tập 3-20: Thiết kế mạch giải mã led 7 đoạn cho số nhị phân ngõ vào 2 bit và 1 bit chọn có tên là S. 
Nếu S = 0 thì led sẽ hiển thị các số từ 0 đến 7 tương ứng với 8 trạng thái.
Nếu S = 1 thì led sẽ hiển thị các số từ 8 đến F tương ứng với 8 trạng thái.
Bài tập 3-21: Thiết kế mạch giải mã led 7 đoạn cho số nhị phân ngõ vào 2 bit để hiển thị các số từ 1 đến 4 và 1 bit cho phép: nếu không cho phép thì led hiển thị số 0. 
Bài tập 3-21: Thiết kế mạch kim tra 8 bit ng vo xem cĩ phi l s BCD hay khơng, nếu đng thì đn BCD sng, nếu sai thì đn nh phn sng. 
Bài tập 3-21: Thiết kế mạch kim tra mt s nh phn 8 bit nếu s bit 1 nhiu hơn 4 thì đn A sng, đn B tt, ngược li thì đn A tt, đn B sng.
Bài tập 3-21: Thiết kế mạch kim tra mt s nh phn 8 bit để biết cc trng thi l s nh phn chn hay l, ln hơn 100, bng 100 hay nh hơn 100. [=>]



5 nhận xét:

 
Toggle Footer
BACK TO TOP