Mạch nguồn ổn định 12V - 15A Đây là dạng mạch nguồn ổn định điện áp đầu ra với công suất lớn. Sử dụng IC ổn áp họ 78XX và transito... Read More
- Pic Example Xem
PIC16F887 lò ấp trứng có hiển thị LCD
Thiết kế sơ đồ nguyên lý, lập trình cho bài toán lò ấp trứng. Lập trình theo yêu cầu sau: Nếu T<T1: thì bật bóng đèn. Nếu T1≤ T ≤ T2:... Read More
- Pic Example Xem
PIC16F887 cảnh báo động đến xe máy
Thiết kế sơ đồ nguyên lý, lập trình cho bài toán cảnh báo động đến xe máy. Hệ thống gồm một cảm biến rung (đầu ra dạng xung 0/1 khi rung ... Read More
- Pic Example Xem
PIC16F887 đèn giao thông cho 1 ngã tư
Thiết kế sơ đồ nguyên lý, lập trình cho bài toán đèn giao thông cho 1 ngã tư, có đèn xanh, đỏ và vàng. Thời gian sáng mỗi đèn: Xanh: 30 gi... Read More
- Pic Example Xem
PIC16F887 cảnh báo phá cây ATM
Thiết kế sơ đồ nguyên lý, lập trình cho bài toán cảnh báo phá cây ATM. Hệ thống gồm có 1 còi hú 12v DC, một cảm biến nhiệt độ LM35. Lập trì... Read More
- Pic Example Xem
PIC16F887 tưới nước tự động
Thiết kế sơ đồ nguyên lý, lập trình cho bài toán tưới nước tự động. Biết phần cơ khí như máy bơm, vòi phun, … đã được lắp sẵn, dây điện nguồ... Read More
- Pic Example Xem
PIC16f887 bơm nước tự động
Thiết kế sơ đồ nguyên lý, lập trình cho bài toán bơm nước tự động. Lập trình theo yêu cầu sau: Nếu M<M1: thì bật bơm nước vào. Nếu M1... Read More
- Pic Example Xem
PIC16f887 Đếm người đi qua cửa hiển thị LED7x2
Giả sử bố trí hệ thống trong câu 2 thành hệ thống đếm người đi qua cửa (LED phát và thu đặt hai bên cửa đối diện nhau), thiết kế sơ đồ ngu... Read More
- Pic Example Xem
PIC 16f887 Cảnh báo nhiệt độ hiển thị LCD
Thiết kế sơ đồ nguyên lý, lập trình cho bài toán cảnh báo nhiệt độ. Giả sử nhiệt độ đọc được là T (0..5v), cảnh báo như sau: Nếu T<T1:... Read More
- VHDL Example Xem
Chữa bài kiểm tra [bài tập 3-21] Thiết kế mạch kiểm tra một số nhị phân 8 bit để biết các trạng thái số nhị phân chẵn hay lẻ, lớn hơn 100, bằng 100 hay nhỏ hơn 100
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity dientuaz is port ( ... Read More
- Lập Trình 8051 Xem
Cơ bản Led 7 Thanh 7SEG
1/ Cấu tạo led 7 thanh - Led 7 đoạn có cấu tạo bao gồm 7 led đơn xếp theo hình phía trên và có thêm một led đơn hình tròn nhỏ thể h... Read More
- VHDL Example Xem
Bài tập 3-6: Thiết kế mạch mã hoá 8 đường sang 3 đường với các ngõ vào tích cực mức cao
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity dientuaz is port ( ... Read More
- VHDL Example Xem
Bài tập 3-5: Thiết kế mạch mã hoá 8 đường sang 3 đường với các ngõ vào tích cực mức thấp
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity dientuaz is port ( ... Read More
- VHDL Example Xem
Bài tập 3-4: Thiết kế mạch giải mã 3 đường sang 8 đường với ngõ ra tích cực mức thấp và có một tín hiệu cho phép E1 tích cực mức cao, và một tín hiệu cho phép E2 tích cực mức thấp.
library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity dientuaz is port ( ... Read More